Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Linting error: backward indexing #1507

Closed
veripoolbot opened this issue Sep 17, 2019 · 9 comments
Closed

Linting error: backward indexing #1507

veripoolbot opened this issue Sep 17, 2019 · 9 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Hao Shi
Original Redmine Issue: 1507 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


I think I met a false error in linting:
Slice selection '[2:2]' has backward indexing versus data type's '[0:3]'
Slice selection '[3:3]' has backward indexing versus data type's '[0:3]'

If the data type is declared as [3:0], then it won't complain. Therefore, it looks like that the tool treats [i:i] as big-to-small. However, it can still be treated as small-to-big.

Thanks,
Hao

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-17T01:18:48Z


Thanks for the report, simple enough to fix.

Fixed in git towards 4.420.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Hao Shi
Original Date: 2019-09-17T16:45:12Z


Wilson Snyder wrote:

Thanks for the report, simple enough to fix.

Fixed in git towards 4.420.

Thanks for the quick fix. May I know when I can start to use the new version?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-17T17:25:11Z


You can get it now from git, see the Install section. Typically releases (tarballs) are every month or so.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Hao Shi
Original Date: 2019-09-17T18:02:14Z


Wilson Snyder wrote:

You can get it now from git, see the Install section. Typically releases (tarballs) are every month or so.

Can you please see if my command has some issues? I used:

git clone http://git.veripool.org/git/verilator

Then "git tag" shows the latest version is verilator_4_018 or v4.018. Not quite sure if I missed anything.

Thanks,
Hao

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-17T18:15:48Z


It's not released (until next monthish), use master branch, that is don't use any tag.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Hao Shi
Original Date: 2019-09-17T18:55:58Z


Let me know if it is better to open a new issue, since it is unrelated topic.

I am on the master branch right now, but I don't see the updates. Please see my command history:

hao@haos:~/Downloads/test/verilator$ git branch

  • master

stable

hao@haos:~/Downloads/test/verilator$ git pull

Already up-to-date.

hao@haos:~/Downloads/test/verilator$ git log

commit 3469c78
Author: Wilson Snyder wsnyder@wsnyder.org
Date: Mon Sep 16 21:09:18 2019 -0400

 Fix bad module name.

commit baa6343
Author: Wilson Snyder wsnyder@wsnyder.org
Date: Fri Sep 13 07:20:26 2019 -0400

 Fix config_rev revision detection on old versions.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-17T19:17:52Z


Sorry, not having it in master was my fault, try again.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Hao Shi
Original Date: 2019-09-17T20:09:20Z


It worked fine. Thanks!

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-10-06T14:07:14Z


In 4.020. Thanks for reporting this; if there are additional related problems, please open a new issue.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants