Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

building verilator from source package #1597

Closed
veripoolbot opened this issue Nov 13, 2019 · 2 comments
Closed

building verilator from source package #1597

veripoolbot opened this issue Nov 13, 2019 · 2 comments
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: thomas arndt
Original Redmine Issue: 1597 from https://www.veripool.org


Dear Community,

I've compiled the Verilator from scratch.
Untar the verilator-4.016.tgz.

cd /home/myhome/mylocation
setenv PWD_PATH pwd
tar xfz verilator-4.016.tgz
cd verilator-4.016
./configure --prefix=${PWD_PATH}/verilator --build=x86_64-pc-linux-gnu
make
make install

If I'm done with that I see:
/home/myhome/mylocation/verilator
- bin
- share - verilator - bin
- include

Then due to a intended reason I've copy the verilator folder to another location
/tools/anotherlocation/verilator

setting VERILATOR_ROOT to /tools/anotherlocation/verilator

The shipped examples fail with a no such file or directory error, since it tries to find the verilator.mk
file at the wrong location: /tools/anotherlocation/verilator/include/verilated.mk
The correct folder is: /tools/anotherlocation/verilator/share/verilator/include/verilated.mk

To solve this issue I've copied

  • share/verilator/include to /tools/anotherlocation/verilator/
    and
  • share/verilator/bin to /tools/anotherlocation/verilator/

This works then...

Is there a better solution to achive the correct behaviour?

BTW: Under mingw-w64 the installation structure is the same.
The above descibed problem does not exist there (no copy of the include folder).
BUT:
There it fails due to a unknown compiler option "-faligned-new" - remove it from verilated.mk file solves this issue.
(gcc-4.9.4)

CFG_CXXFLAGS_NO_UNUSED = -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow

Could you please help me in this case?

KR,
Thomas

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-11-13T14:15:12Z


By setting VERILATOR_ROOT that overrides the default you set for prefix, just don't set that and it should work, let us know.

For more details on this see docs/install.adoc (or [[Installing]] here).

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-15T03:34:45Z


Don't think there's anything needed to be changed in Verilator, let us know if otherwise.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: nofixneeded resolution: no fix needed Closed; no fix required (not a bug) and removed resolution: nofixneeded labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant