Activity
From 12/13/2019 to 01/11/2020
01/11/2020
- 12:16 PM Verilator 4.026 Released
- Verilator 4.026 2020-01-11
** Docker images are now available for Verilator releases.
*** Support bounded ...
12/22/2019
- 08:15 PM Issue #1184: Verilator doesn't detect multiple assignment
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:15 PM Issue #1278: Unsupported LHS tristate construct: ARRAYSEL
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:13 PM Issue #1288: scr1 test suite: In some cases mixed assignment to struct member fails
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:12 PM Issue #1292: scr1 test suite: |-> and |=> operators are unsupported in assertions
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:12 PM Issue #1314: Bad scaling, if there are nasty forests of generate statements
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:11 PM Issue #1369: Raise error / warning on continous assignment to reg
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:11 PM Issue #1373: Cannot write to top-level tristate ports
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:10 PM Issue #1382: Inconsistent LITENDIAN warnings on arrays
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:10 PM Issue #1395: Extend UNUSED to flag signals which are not in the cone of a module output
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:09 PM Issue #1430: Broken node on indexed interface modport
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:09 PM Issue #1454: Support for loop index into generated arrays
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:07 PM Issue #1479: Feature Request: VerilatedVcd callback on rolloverMB
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:07 PM Issue #1482: Conditional event controls ("iff")
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:06 PM Issue #1489: Python support for Verilated designs
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:06 PM Issue #1501: Support bind statements within generate blocks
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:05 PM Issue #1514: Switch for file to read public signals from
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:05 PM Issue #1515: VPI: Log all variables that were accessed
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:03 PM Issue #1545: Warning-CASEOVERLAP is not triggering for signals wider than 12
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:03 PM Issue #1554: There is a problem when Handling variables forced type conversion
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:02 PM Issue #1567: Cocotb Integration
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:02 PM Issue #1572: Extend --protect-lib for foreign/embedded module use
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:01 PM Issue #1593: Parameter-resolved constants from interface components
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:00 PM Issue #1601: Add SystemC to Travis
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 08:00 PM Issue #1602: Investigate Travis CMake error message
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:59 PM Issue #1609: Detect and warn appripriately on intentional latches
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:59 PM Issue #1613: verilator %Warning-WIDTH false positive
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:58 PM Issue #1622: Wrong modport directionality accross scopes doesn't trigger an error
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:58 PM Issue #1623: Interface declared in parent scope can be used incorrectly
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:57 PM Issue #1624: Bad parameter width error message references parameter definition instead of reference
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:57 PM Issue #1626: Interface parameter circular assignment succeeds where it should not
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:56 PM Issue #1627: Warnings and support of array concatenation
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:55 PM Issue #1640: disable iff in assertion causes assertion to fail
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:55 PM Issue #1642: Check for proper array sizes
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:53 PM Issue #1644: Performance delta between 4.020 and 4.022
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:53 PM Issue #1646: $bits for dynamic/runtime usage
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:52 PM Issue #1647: Queues in other unpacked arrays
- A Verilator Issue which you were involved in has moved to github.
You will no longer receive any mails from Veripool... - 07:51 PM Issue #1649: Lint filter specific warnings or wildcards/regexp
- Verilator Issue '+id+' which you were involved in has moved to github.
You will no longer receive any mails from Ver...
12/21/2019
- 08:18 PM Issue #1640: disable iff in assertion causes assertion to fail
- I have updated the patch to handle cover and assertion properties. The old behavior stays for cover properties. The n...
- 12:48 PM Issue #1640: disable iff in assertion causes assertion to fail
- > I can look at the parent type to see if it is a cover or assert?
It looks like "iff" disables the action, which ... - 11:05 AM Issue #1640: disable iff in assertion causes assertion to fail
- Ahh, I see.
blockp = new AstAnd(nodep->disablep()->fileline(),
new As... - 03:36 PM Issue #1657 (New): Investigate google build?
- Travis CI takes 20+ minutes. Wonder if there's a way to make this faster without $$?
See https://github.com/Symbi... - 03:01 PM Issue #1656: [RFC] Relaxing UNOPTFLAT by dividing unpacked array
- Thanks for the suggestions. I agree with the you.
I'll add this feature step by step and ask your review.
# 1... - 02:35 PM Issue #1656 (Assigned): [RFC] Relaxing UNOPTFLAT by dividing unpacked array
- Excellent!
I've seen these common cases as causing UNOPTFLAT:
* Unpacked arrays
* Packed arrays
* Unpacked stru... - 01:59 PM Issue #1656 (Assigned): [RFC] Relaxing UNOPTFLAT by dividing unpacked array
- I have some idea and code to relax UNOPTFLAT limitation.
If this looks useful, I'd like to go forward to polish the ... - 02:35 PM Issue #1655: Build support for Windows.
- The good news is that the codebase is so clean that CMake build support has almost no Windows-specific cruft and will...
- 02:19 PM Issue #1655 (Assigned): Build support for Windows.
- I think this is an excellent addition esp if you can support it.
If there are pieces that can be separated out tha... - 01:49 PM Issue #1655 (Assigned): Build support for Windows.
- I've got a successful MSVC build using Cmake on Windows. The CMakeFile.txt is small and is meant to build successfull...
- 02:12 PM Issue #1653 (Duplicate): dirent is missing on Windows
- Tracking in #1655.
- 02:11 PM Issue #1653: dirent is missing on Windows
- Please close this issue - I'll include @dirent.h@ in PRs related to Windows build support.
- 01:30 PM Issue #1653: dirent is missing on Windows
- Note though that this submodule would only be necessary when building for Windows, so not recursively checking it out...
- 01:14 AM Issue #1653: dirent is missing on Windows
- Adding submodules increases the friction as we have a lot of users that are git novices, so at this time I would pref...
- 01:34 PM Issue #1654: Fix long if-else chain hitting MSVC's limit.
- Noted. I want to adhere to whatever process you find most productive. I tend to split things into small patches so wo...
- 01:11 AM Issue #1654 (Closed): Fix long if-else chain hitting MSVC's limit.
- Thanks for the patch, makes sense. Pushed to git towards 4.026.
BTW if you have a pull request that you think comp... - 12:35 AM Issue #1654: Fix long if-else chain hitting MSVC's limit.
- This is also https://github.com/verilator/verilator/pull/7
- 12:25 AM Issue #1654 (Closed): Fix long if-else chain hitting MSVC's limit.
- There's an if-else chain in V3Option.cpp that goes past MSVC's limit of 128 blocks. Splitting it into to parts is a l...
- 01:08 PM Issue #236: Support real event loop
- We'd love to have help with this.
I think your points are at the high level correct. Fortunately/unfortunately mos... - 02:46 AM Issue #236: Support real event loop
- I gave this much thought some time ago in a CPU emulator I was working on. I'd like to take a stab at this in the nea...
- 01:15 AM Issue #1652 (Closed): A header is missing in V3Width.h
- Thanks for the good fix, merged towards 4.026.
- 12:38 AM Issue #1652: A header is missing in V3Width.h
- This is also https://github.com/verilator/verilator/pull/8
12/20/2019
- 10:44 PM Issue #1640 (Assigned): disable iff in assertion causes assertion to fail
- Seems reasonable, thanks for looking into a patch. The only test I see failing you should look at is t_assert_cover....
- 08:04 PM Issue #1640: disable iff in assertion causes assertion to fail
- I have updated to the newest master and created a patch with testcases. I hope that this is sufficient. Please let me...
- 08:31 PM Issue #1649: Lint filter specific warnings or wildcards/regexp
- I mainly see it when I add Verilator to some IP that has not been used with Verilator before. It's probably a tradeof...
- 03:24 PM Issue #1653 (Duplicate): dirent is missing on Windows
- In the pursuit of a Windows build with minimal changes to the source, I figure it's easiest to use https://github.com...
- 03:11 PM Issue #1652 (Closed): A header is missing in V3Width.h
- It uses std::min & std::max but doesn't include <algorithm>. This makes the build fail on Windows with platform heade...
- 12:02 PM Issue #1651 (Closed): strcasecmp is not found on Windows
- Much appreciate getting bugs with good patches to fix them.
Fixed in git as part of 4.025 devel.
- 08:57 AM Issue #1651: strcasecmp is not found on Windows
- Sorry, added a branch for that: https://github.com/KubaO/verilator/tree/feature/fix-strcasecmp
- 08:54 AM Issue #1651 (Closed): strcasecmp is not found on Windows
- strcasecmp is used in two places, but is not supported by the Windows CRT. Yet VL_STRCASECMP exists and fixes this.
... - 01:23 AM Issue #1650 (Feature): Implement type_reference (medium starter task)
- Good small task, though requires looking at the parsing and elaboration code which is non-trivial to understand.
I... - 12:53 AM Issue #1647 (Feature): Queues in other unpacked arrays
12/19/2019
- 11:01 PM Issue #1649 (Feature): Lint filter specific warnings or wildcards/regexp
- For the projects I personally lead, I require all disables to be inline code, as then it's all in one spot, making it...
- 04:47 PM Issue #1649 (Feature): Lint filter specific warnings or wildcards/regexp
- Currently we can have linter on/off and specify them in the configuration file, but the latter works on a fileline ba...
- 10:57 PM Issue #1646: $bits for dynamic/runtime usage
- I agree closing after you add the checks is appropriate. You should be able to close the issue out yourself.
- 04:43 PM Issue #1646: $bits for dynamic/runtime usage
- I agree, is there some status that keeps it open but doesn't show with other open issues, like for long term work? Ot...
- 10:41 AM Issue #1644: Performance delta between 4.020 and 4.022
- Yes, I did wonder about that, but thought it would be OK since the existing overlap check (although bounded) is also ...
12/18/2019
- 11:33 PM Issue #1643 (Resolved): Foreach of dynamic sized queue
- Thanks for the work, pushed to git towards eventual 4.026 release.
The incomplete switch messages are automatic fr... - 05:19 AM Issue #1643: Foreach of dynamic sized queue
- When @$left(array)=0@ and @$right(array)=-1@ the emitted code will iterate @foreach(array[i])@ as @i=0@ and @i=-1@. T...
- 12:34 AM Issue #1643: Foreach of dynamic sized queue
- I don't understand your DIM_RIGHT comment; I would have thought a for(0..-1) would not iterate, but anyhow looks like...
- 11:04 PM Issue #1648 (Closed): Coverage --annotate-min issues
- >so if it is fully covered the logs/top.v is not generated ?
Correct.
I pushed fixing the examples to pre-remov... - 01:36 PM Issue #1648: Coverage --annotate-min issues
- so if it is fully covered the logs/top.v is not generated ?
i have another problem now
i was using scientific linux... - 12:31 PM Issue #1648 (Confirmed): Coverage --annotate-min issues
- 12:30 PM Issue #1648: Coverage --annotate-min issues
- When using --annotate-min I get
Total coverage (4/4) 100.00%
And the logs/top.v file is not generated as it... - 11:04 AM Issue #1648 (Closed): Coverage --annotate-min issues
- hello,
i am using verilator to test a simple mux 4_1. i need to calculate the branch coverage. i see that --coverage... - 11:01 PM Issue #1644: Performance delta between 4.020 and 4.022
- As to the patch, it's O(n^2). I've seen real tables with thousands of entries, so this will effectively hang. It at ...
- 10:57 PM Issue #1644: Performance delta between 4.020 and 4.022
- Short/Medium term the patch you mention seems good.
The really ideal long term improvement IMO would be to use a B... - 07:15 PM Issue #1644: Performance delta between 4.020 and 4.022
- Sounds good. Will do.
If I get time I might look at a patch to recognise CLZ, CTZ type casez constructs, e.g.
# ... - 05:30 PM Issue #1644: Performance delta between 4.020 and 4.022
- Thanks for the patch, I'll check & merge it tonight.
Can you also try out the CASE_SPARSENESS test to tune your pe... - 01:05 PM Issue #1644: Performance delta between 4.020 and 4.022
- To your first point: Yes, simply reverting CASE_OVERLAP_WIDTH to 12 and we're back down to 14K
To your second point:... - 12:06 AM Issue #1644 (Confirmed): Performance delta between 4.020 and 4.022
- Wow, that's an unanticipated consequence! Can you try reverting the define in bug1545? If that is it, I suspect it ...
- 10:53 PM Issue #1523: Add waveform replay tool
- This tool will help out Verilator, so if you want to go down the new binary in Verilator for now that seems ok. If th...
- 09:39 PM Issue #1523: Add waveform replay tool
- Tony says there's no VCD API in the gtkwave codebase. We could refactor things to make one or something like that, b...
- 12:18 PM Issue #1646: $bits for dynamic/runtime usage
- Generally given opportunity costs, I'd suggest if one commercial sim bails we can/should bail too.
- 06:09 AM Issue #1646: $bits for dynamic/runtime usage
- Wilson, I am wondering what you think about this: One major part of this is strings.
This code... - 05:33 AM Issue #1646 (New): $bits for dynamic/runtime usage
- I think that $bits currently only works for fixed size expressions. For queues I have not implemented it yet as it ma...
- 05:40 AM Issue #1647 (Feature): Queues in other unpacked arrays
- Queues can be used in other arrays:...
- 12:00 AM Issue #1609: Detect and warn appripriately on intentional latches
- Wow, great stuff, I was expecting a LOT of questions before you had a fix!
>It also seems to survive the regressio...
12/17/2019
- 11:28 PM Issue #1644: Performance delta between 4.020 and 4.022
- The above function emits an impressive 11MB of C++ on 4.022 compared to 14KB with 4.020 :)
- 11:22 PM Issue #1644: Performance delta between 4.020 and 4.022
- Yes, this is it. Re-coding the above as a for loop works-round the issue.
Is this a side-effect of the workaround fo... - 06:52 PM Issue #1644: Performance delta between 4.020 and 4.022
- Possibly something to do with casez expansion?...
- 06:41 PM Issue #1644 (Confirmed): Performance delta between 4.020 and 4.022
- For my design I am finding a very large runtime delta between Verilator 4.020 and either 4.022 or 4.024
I am seein... - 09:10 PM Issue #1643: Foreach of dynamic sized queue
- Hi,
in the end it was easier than I originally experimented: Fixing the attribute calculation (DIM_LEFT, DIM_RIGHT... - 08:16 AM Issue #1643 (Resolved): Foreach of dynamic sized queue
- Foreach on queues is not supported, see #1641
- 03:56 PM Issue #1609: Detect and warn appripriately on intentional latches
- This is pretty much complete I think, and seems to work well on the examples I have tried it on.
It also seems to su... - 11:12 AM Issue #1640: disable iff in assertion causes assertion to fail
- Note some assertion bug fixes were recently committed, so might want to pull from master when looking at this.
- 11:10 AM Issue #1641 (Resolved): Statement queue pop_front error after foreach
- Peter, thanks for reporting, Stefan thanks for the great work.
Pushed (Stefan commit one) to git towards eventual ... - 08:18 AM Issue #1641: Statement queue pop_front error after foreach
- The original issue here was "Allow queue operations to be used as statement". The first commit in the branch above so...
- 08:14 AM Issue #1642 (New): Check for proper array sizes
- Currently we accept an invalid unpacked array size 0, which fails at iterating and doesn't make sense. Check this and...
- 12:34 AM Issue #1523: Add waveform replay tool
- While VCD doesn't have directional information, EVCD does. It should be straightforward to have Verilator create EVCD...
12/16/2019
- 05:46 PM Issue #1641: Statement queue pop_front error after foreach
- I agree a zero size "normal" array, or a negative queue bound should be checked and flagged as an error. (As separat...
- 03:54 PM Issue #1641: Statement queue pop_front error after foreach
- Sorry, about 1. I meant standard arrays, not queues. I was checking them as drive by and an array with size 0 is acce...
- 12:09 PM Issue #1641: Statement queue pop_front error after foreach
- 1. The [$:0] means the maximum element is zero (not zero size, which IMO IEEE botched, anyhow). I think we should er...
- 12:04 PM Issue #1641: Statement queue pop_front error after foreach
- So, it seems that moving it from LinkParse to LinkDotResolve may make sense, with some minor tweaks.
- 11:34 AM Issue #1641: Statement queue pop_front error after foreach
- Hi, quick update:
# I found that @int q[0]@ is accepted and iterates @0:-1@. I found that other simulators don't a... - 01:29 PM Issue #1523: Add waveform replay tool
- > # Read waveform and make verilog wrapper based on I/O in the VCD
The problem with this is that while (I believe)...
12/15/2019
- 10:49 PM Issue #1641: Statement queue pop_front error after foreach
- Okay, I am at it.
- 10:39 PM Issue #1641: Statement queue pop_front error after foreach
- I presume that's correct ([0] then [1] which contains 2 then 1). Also good idea to add test of foreach on an empty q...
- 09:32 PM Issue #1641: Statement queue pop_front error after foreach
- So, the issue itself seems to be easy: https://github.com/wallento/verilator/tree/issue-1641
As you mention, Wilso... - 09:06 PM Issue #1641: Statement queue pop_front error after foreach
- Strangely, I don't observe that the error disappears when the display is commented out. It seems purely related to ex...
- 08:42 PM Issue #1641 (Confirmed): Statement queue pop_front error after foreach
- Yes, if you could take a look that would be great.
For queues I didn't touch any code related for foreach which se... - 08:39 PM Issue #1641: Statement queue pop_front error after foreach
- Hi,
from a very rough look at it, it seems that it does not like @queue.pop_front@ as standalone statement, but on... - 08:05 PM Issue #1641 (Resolved): Statement queue pop_front error after foreach
- Hi Wilson,
Thank you for adding queues and associative arrays to Verilator. This is amazing!
I played around wi... - 10:41 PM Issue #1628: Ongoing cleanup of SymbiFlow sv-tests (help wanted)
- Bounded queues are supported in git master as of yesterday ;), but that's where I was stopping. Anything else you'd l...
- 10:26 PM Issue #1628: Ongoing cleanup of SymbiFlow sv-tests (help wanted)
- Hi,
I saw that there are a couple of missing things around queues. Do you want to get them added, in particular bo... - 07:46 PM Issue #1640: disable iff in assertion causes assertion to fail
- If you could take a look that would be great.
Run with --debug and look at the tree file to check the expression, ... - 07:40 PM Issue #1640 (Assigned): disable iff in assertion causes assertion to fail
- The following assertion should never fail:
a_valid: assert property (
@(posedge clk) disable iff (1==1)
... - 06:05 PM Issue #1609: Detect and warn appripriately on intentional latches
- Hi Julien,
I would like to help you out on this task. Is there anything that you would like to get off your plate?... - 01:14 PM Issue #1606 (Resolved): Complete string methods (starter project)
- Excellent, pushed to git towards eventual 4.026 release.
If you're willing to work on something else next it would... - 03:28 AM Issue #1606: Complete string methods (starter project)
- Thanks for the comment.
I just updated in the following commit of the branch.
https://github.com/yTakatsukasa/veril... - 01:35 AM Issue #1606: Complete string methods (starter project)
- You can do a pull request if you prefer, but post here mentioning it.
Great stuff, again!
+++ b/include/ver... - 03:34 AM Issue #1597 (NoFixNeeded): building verilator from source package
- Don't think there's anything needed to be changed in Verilator, let us know if otherwise.
- 01:42 AM Issue #1639 (Feature): Support $countbits (starter task)
- Good starter task is to implement and test $countbits, which is a generation of $countones etc.
The existing $coun... - 01:40 AM Issue #1638 (Feature): Support $ferror/$fflush (starter task)
- Good starter project is to implement $ferror, which should simply read errno and the stringified errno.
Also $fflu... - 01:38 AM Issue #1637 (Feature): Support $displayb/o/h, $writeb/o/h (starter project)
- Good starter project is to implement $displayb/o/h and $writeb/o/h. Add the tests, parsing in verilog.l/verilog.y, th...
12/14/2019
- 11:53 PM Issue #1606: Complete string methods (starter project)
- I added the remaining methods (substr,putc, and getc()).
https://github.com/yTakatsukasa/verilator/commits/remaini... - 03:16 PM Issue #234: Support time and `timescales
- Stefan Wallentowitz indicated he might get to this.
Note I have an old unpublished branch with some very prelimina... - 03:11 PM Issue #1514: Switch for file to read public signals from
- Good work.
+++ b/test_regress/t/t_vlt_public.vlt
+public "A" "b"
+public_flat "C" "c"
I don't thi... - 12:28 AM Issue #1636 (Resolved): Add vpiTimeUnit and allow to specify time as string
- Great, pushed to git towards eventual 4.026 release.
12/13/2019
- 08:05 PM Issue #1636: Add vpiTimeUnit and allow to specify time as string
- Hi,
I have amended the branch and split into three commits. First is simply adding the VL_STRINGIFY. I intentional... - 12:16 PM Issue #1636 (Assigned): Add vpiTimeUnit and allow to specify time as string
- Seems reasonable. The real fix which might replace some of this is to add correct time support. This is on the roadm...
- 03:55 PM Issue #1514: Switch for file to read public signals from
- I have started basic prototyping of this feature here: https://github.com/wallento/verilator/tree/vlt-attrs
I beli... - 03:50 PM Issue #1567: Cocotb Integration
- Nearly there, #1636 is the last one we need for the basic examples. The merge progress can be tracked here: https://g...
- 01:54 AM Issue #1634 (Resolved): `uselib error with Verilator
- Fixed in git to ignore until end-of-line.
Also available in: Atom